Search code examples
What's included in a Verilog always @* sensitivity list?...

verilogsystem-verilogdigital-logic

Read More
$readmemh syntax error for .mif file in Verilog HDL Intel Quartus Prime...

verilogsystem-verilogquartus

Read More
Getting XXX in the output IR REGISTER = XXXXX...

verilog

Read More
2 consecutive nonblocking assignments...

verilogsystem-verilog

Read More
Verilog issue with case/always statement...

verilogquartus

Read More
Verilog Error - Quartus II - Loop Must terminate within X iterations...

verilogsystem-veriloghdl

Read More
Why is my 8-bit counter stuck at 0 or 255?...

verilogcounterquartus8-bitcyclone

Read More
Verilog design: Where should my counter live?...

verilog

Read More
Assigning an ID number or code to Verilog module...

verilog

Read More
Are you allowed to have a module identifier be the same as the module type in Verilog?...

verilogsystem-verilog

Read More
My code does not move onto the next state even when the conditions are true...

verilogsystem-veriloghardwarefpgahdl

Read More
How to wire up modules and pass value...

moduleverilogvivado

Read More
localparameters to make code generic to support different data widths...

verilogsystem-verilogfpgahdl

Read More
Why do I get an error calling a module in an always block?...

verilog

Read More
always block @posedge clock...

verilogsystem-verilogclock

Read More
ModelSim error: Instantiation of 'OR' failed...

veriloginstantiationmodelsim

Read More
How do I concatenate parameters and integers?...

verilogsystem-verilogquartusintel-fpga

Read More
Passing parameters to Verilog modules...

moduleverilogsystem-verilogfpgaparameterization

Read More
Parameter inside a module inside a module...

verilog

Read More
Turning a 1-bit ALU into an 8-bit ALU...

verilogalu

Read More
Wrong output value in 8-bit ALU...

verilogmodelsimalu

Read More
Red output running testbench on 4-bit ALU...

verilogsystem-verilogalu

Read More
Not outputting expected value in simple assignment...

verilogsystem-verilog

Read More
defparam for array of modules in verilog...

verilog

Read More
How to pass a string variable (not a string literal) to $dumpfile system task?...

verilogsimulationsystem-verilogcadence

Read More
EDA Playground EPWave $dumpfile error: no vcd file found...

verilogsystem-verilogquestasimedaplayground

Read More
Using wire or reg with input or output...

verilogsystem-verilog

Read More
Functional coverage problem using with instead of iff...

verilogsystem-verilog

Read More
How can I use $value$plusargs?...

verilog

Read More
Width independent functions...

verilogsystem-verilog

Read More
BackNext