Search code examples
What's the best way to tell if a bus contains a single x in Verilog?...


verilogsystem-verilogtest-bench

Read More
Reducing OR not working as expected when bit slicing in a loop...


verilogsystem-verilogvlsi

Read More
Why does "assign a = a/2" (inside a task) only cause a single value change?...


system-verilog

Read More
stream operands require explicit typecast to be used as argument of system task/function...


system-verilog

Read More
SystemVerilog testbench: Making an array of logic with run-time determined width...


verilogsystem-verilogtest-bench

Read More
Why does blocking vs. non-blocking matter in this Verilog snippet?...


verilogsystem-verilog

Read More
How can I schedule multiple inputs into an instantiated SystemVerilog module?...


verilogsystem-veriloghdlregister-transfer-level

Read More
How can I declare an output of a module to be a decimal number instead of a single bit?...


verilogsystem-veriloghdl

Read More
T flip-flop using dataflow model...


verilogsystem-verilogflip-flop

Read More
System Verilog: Biitwise coverage for multibit signal...


oopcode-coveragesystem-verilogtest-coverage

Read More
Use if-generate structure to define two variations of a function, and call that function in the same...


verilogsystem-verilog

Read More
SystemVerilog inheritance, aggregated classes and parent function call...


oopverilogsystem-verilogfpgamodelsim

Read More
String triple quotes not getting accepted by simulators...


verilogsystem-verilog

Read More
Verilog always @(posedge clk) dosent work...


verilogsystem-verilogmodelsim

Read More
SystemVerilog not reading data correctly...


verilogsystem-verilogmodelsimdigital-design

Read More
How to access a slice with a dynamic value in SystemVerilog?...


system-verilogregister-transfer-levelverilator

Read More
SystemVerilog parameter override unsigned...


parametersverilogsystem-verilog

Read More
SystemVerilog When instantiating a module how can I connect an output to each wire of a multidimensi...


multidimensional-arraysystem-veriloginstantiation

Read More
Assign a SV port...


verilogsystem-verilogregister-transfer-level

Read More
Is it possible to tie ports high always high outside of top module?...


system-verilogfpgaxilinxvivado

Read More
Undefined output in Ring FIFO simulation...


simulationsystem-verilogfifo

Read More
Does the following code contain a race condition?...


system-verilog

Read More
Why is "Set as Top-Level Entity" grayed out in quartus?...


verilogsystem-veriloghdlquartus

Read More
Converting SystemVerilog Structs Into C/C++ Structs...


cstructsystem-verilogtext-manipulation

Read More
UVM enforce clocking block usage...


system-verilogverificationuvm

Read More
'Illegal output or inout port' error when trying to simulate counter...


verilogsystem-verilogtest-bench

Read More
How to cast a macro using the streaming operator...


castingsystem-verilogmodelsimquestasim

Read More
UVM: illegal combination of driver and procedural assignment warning...


system-veriloguvm

Read More
Matrix Multiplication Testbench Yields Inconsistent Results...


verilogsystem-verilogtest-benchiverilog

Read More
Send transactions using test cases to random channels...


system-verilog

Read More
BackNext