Search code examples
How can I schedule multiple inputs into an instantiated SystemVerilog module?...


verilogsystem-veriloghdlregister-transfer-level

Read More
Elegant Way To Compress If/Elsif Statements into Single For Loop Statement in VHDL...


for-loopif-statementvhdlhdl

Read More
Why is "Set as Top-Level Entity" grayed out in quartus?...


verilogsystem-veriloghdlquartus

Read More
sel[1] and sel[2] have different bus widths error when trying to build and 8 way demux using the nan...


hdlnand2tetris

Read More
Verilog testbench code using gEDA and iVerilog...


veriloghdliverilog

Read More
What is the difference between == and === in Verilog?...


verilogsystem-veriloghdl

Read More
Full Adder output always set to X...


system-verilogfpgahdlvivado

Read More
Verilog state machine state/next_state style...


verilogfpgahdlfsm

Read More
Dealing with arrays in HDL...


arrayssyntaxhdlbusnand2tetris

Read More
Why does my code keep triggering the default condition in case statement?...


caseveriloghdl

Read More
HDLBits Dff8p - Reset not working when using a generate loop...


veriloghdlflip-flop

Read More
XXX on output ports...


veriloghdlxilinx-ise

Read More
Writing a counter to approximate a fraction with minimal error...


veriloghdlvga

Read More
SystemVerilog array of interfaces with unique parameters...


verilogsystem-veriloghdl

Read More
Verilog/SystemVerilog: "constant" function is considered non-constant...


verilogsystem-veriloghdlyosysverilator

Read More
Whether the execution order is guaranteed when the statements in fork join_any and the statements fo...


verilogsystem-veriloghdl

Read More
4 bit adder-subtractor in verilog...


veriloghdliverilog

Read More
Assignment error: "Cannot assign to array"...


veriloghdliverilog

Read More
'case item is unreachable' in Vivado synthesis process...


verilogfpgaxilinxhdlvivado

Read More
Using Chisel Submodule within another Module: Cannot assign variables to the io input...


scalahdlchisel

Read More
if-else condition for custom libraries in VHDL...


vhdlfpgahdlvivado

Read More
VHDL when running ghdl -r my testbench is getting stuck after passing two values...


vhdlhdltest-benchalughdl

Read More
Parity checker in verilog only calculates a result once...


veriloghdl

Read More
Why does the Inferred Latch error occur during the synthesis process?...


verilogfpgaxilinxhdlvivado

Read More
What is the nondeterminism in Verilog and simulator? Can control flow switch back and forth between ...


verilogsystem-veriloghdl

Read More
Vivado verilog 1 LUT cells form a combinatorial loop...


veriloghdlvivadosoc

Read More
Difference between combinatoric signal dependant on clocked signal vs. registering it...


fpgahdl

Read More
What happens when multiple variables in Verilog's always block's sensitive list change at th...


veriloghdl

Read More
Syntax error when implementing a Mux gate in Nand2Tetris...


hardwarehdlnand2tetris

Read More
Synchronizing Negative-Edge and Positive-Edge Triggered Flip-Flops in HDL...


verilogsystem-veriloghdl

Read More
BackNext