Search code examples
What's included in a Verilog always @* sensitivity list?...


verilogsystem-verilogdigital-logic

Read More
Understanding the functioning of 'and' and 'or'...


pythonbooleankaggledigital-logic

Read More
Digital Logic - realizing full adder using NAND gates?...


digital-logic

Read More
How can w’xz + w’yz + x’yz’ + wxy’z be implemented with 4 NOR gates (+ inverters), given d = wyz...


digital-logickarnaugh-mapnor

Read More
Using One's Complement In Place of Directly Subtracting Two Binary Numbers...


assemblycpu-architecturedigital-logic

Read More
Linear feedback shift register?...


pythonlanguage-agnosticdigital-logic

Read More
Problem while implementing JK-Flip Flop in VHDL...


vhdldigital-logichardwaredigital-design

Read More
What does the double slash(transition 0 --> 1) mean in SRAM datasheet?...


fpgadigital-logic

Read More
Shifting in Verilog for multiplication...


verilogsystem-verilogfpgadigitaldigital-logic

Read More
Capturing the right posedge clock in Quartus waveform...


verilogquartustest-benchdigital-logicregister-transfer-level

Read More
Boolean expression in SOP...


algorithmbooleanboolean-expressiondigital-logicboolean-algebra

Read More
D-latch time diagram with preset and clear?...


cpu-architecturedigital-logicflip-floppreset

Read More
Verilog Binary Coded Decimal Adder Not Outputting Correctly...


binaryverilogadditiondigital-logicbcd

Read More
Do we use Gray Counter to avoid metastability in Asynch FIFO?...


fifodigital-logic

Read More
How to simulate output delay using next_trigger() in SystemC?...


systemcdigital-logic

Read More
2's complement std_logic_vector to unsigned number...


vhdlhdltwos-complementdigital-logic

Read More
How to simplify sequential logic design by eliminating nested if-else statements...


if-statementvhdlhdldigital-logicflip-flop

Read More
I don't understand how to do this Lesson :'(...


cpu-architecturecircuitdigital-logic

Read More
If the PC register is simultaneously read and written, does its read data contain the previous data ...


cpu-architecturedigital-logicflip-flop

Read More
8 bit carry lookahead adder error with SystemVerilog in Questasim using two 4 CLA's...


verilogsystem-verilogdigital-logicquestasim

Read More
Time complexity in n bit array multiplication...


complexity-theorydigital-logic

Read More
Delaying the clock by a fraction of the period...


vhdldigital-logic

Read More
Using opcodes in digital circuit design...


digital-logic

Read More
How to simplify circuits...


logiccomputer-sciencecpu-architecturecircuitdigital-logic

Read More
What is the output of a moore state machine?...


vhdlstate-machinedigital-logic

Read More
Design does not fit ispLEVER...


vhdldigital-logicdigital-design

Read More
Implementation of 8:1 MUX using 3:8 decoders and 2 input gates...


cpu-architecturedecoderdigital-logic

Read More
Chisel3 REPL Vec assignment into module only works after eval...


chiseldigital-logic

Read More
Chisel3 REPL peek value is correct but expect fails in test...


fpgachiseldigital-logic

Read More
How to test modules with bundle/vec input?...


chiseldigital-logic

Read More
BackNext