Search code examples
Verilog's display function is giving an incorrect output?...

verilogmodelsim

Read More
How to write a testbench to loop through 4 inputs?...

loopstestingverilogsystem-verilog

Read More
How to use variables in a loop with consecutive numbers in their names?...

verilogsystem-verilogtest-bench

Read More
How to create a string from a pre-processor macro...

macrosverilogsystem-verilog

Read More
difference between `include and import in SystemVerilog...

verilogsystem-verilogvivado

Read More
How to separate by special keyword in merged associative array without other library?...

verilogsystem-verilog

Read More
How to print the hierarchical name of a signal given to a system-verilog task as parameter...

verilogsystem-verilog

Read More
If always_ff = always @ (posedge clk), then why write always_ff @ (posedge clk)?...

verilogsystem-verilogfpga

Read More
How to access signals in submodules with multiple modules?...

verilogsystem-verilogmodelsimtest-bench

Read More
How to define variable number of "and" gates (logic gates) programatically?...

verilog

Read More
Why does the force statement get stuck? And how to force a single bit in an array of bits?...

verilogsystem-verilogtest-bench

Read More
How to connect a modport interface to a module that wasn't originally declared using the modport...

verilogsystem-verilogfpgahdlvivado

Read More
SystemVerilog Sequential Circuits Coding Style...

verilogsystem-verilogsequentialflip-flop

Read More
Passing packed array of parameters to an array of module instances in Verilog...

arraysparametersverilogsystem-verilog

Read More
Getting Z values when expecting a proper output...

verilogsystem-verilog

Read More
Syntax error verilog defining module iverilog...

syntax-errorverilog

Read More
Permuation in SystemVerilog using genvar...

verilogsystem-verilogvivado

Read More
Problem on HDLBits: Exams/m2014 q6c, stuck at gnd...

verilogsystem-verilog

Read More
In Verilog, is begin-end block really sequential ? Stratified event queue model doesn't include ...

verilogsystem-veriloghdl

Read More
Why do I get red color for some signals in simulation?...

verilog

Read More
Passing parameters to a Verilog function...

verilogsystem-verilog

Read More
verilog testbench(with for loop) for 3-8 decoder signal value not updating...

verilog

Read More
Why does this error in indexing BCD adder appear?...

for-loopindexingverilogbcd

Read More
ModelSim Simulation Stops Earlier than Expected...

veriloghdlmodelsimquartus

Read More
How to connect module to module in Verilog?...

verilogsystem-verilogfpgaquartusintel-fpga

Read More
How to correctly calculate the frequency of the device in Timing Analyzer, Intel Quartus...

verilogsystem-verilogtimingquartusintel-fpga

Read More
How do I use clocking wizard to create a slower clock for my program?...

verilogfpgavivado

Read More
Reading and writing CSV for a simple testbench...

verilogsystem-verilogxilinxtest-bench

Read More
Real value printed with %f is 0.0000, but condition '>0' does not apply (after using $flo...

verilogsystem-verilog

Read More
Is the array part select +: with variable start synthesizable by Vivado?...

verilogsystem-verilogfpgahdlvivado

Read More
BackNext