Search code examples
non-blocking assignments with if statements...


verilogfpga

Read More
Why is there a difference in output when using Event Control Statement and Delay statement for a sim...


verilogsimulationfpgasystem-verilogquartus

Read More
Verilog with cocotb : assign statement...


pythonverilogfpgaiverilogcocotb

Read More
Unable to compile Micron's DDR3 memory model in Modelsim...


verilogfpgahdlmodelsim

Read More
Can I use a single address space for the GPU, CPU and FPGA look like to CUDA UVA?...


c++cudashared-memorygpgpufpga

Read More
Unable to open ftdi device with vid 0403, pid 6010...


fpgaftdi

Read More
Why this verilog assignment is wrong?...


verilogsystem-verilogfpga

Read More
Convert binary input into residue number system...


verilogfpgasystem-verilog

Read More
Binary to Grey Code and Grey to Binary using mode switch...


verilogsystem-verilogfpga

Read More
MicroBlaze is under RESET...


fpgaxilinxmicroblazexilinx-edk

Read More
How to get access to Xilinx FPGA temperature in hdl code?...


fpgaxilinxhdlvivado

Read More
My code does not move onto the next state even when the conditions are true...


verilogsystem-veriloghardwarefpgahdl

Read More
localparameters to make code generic to support different data widths...


verilogsystem-verilogfpgahdl

Read More
Passing parameters to Verilog modules...


moduleverilogsystem-verilogfpgaparameterization

Read More
FFT IP Core - the results of Matlab and FFT IP Core didn't match?...


fftfpgaxilinx

Read More
Clocking Block Cycle Delay Problem in SystemVerilog...


system-verilogfpgatest-bench

Read More
Using keyword `all` in a sensitivity list of a clocked VHDL process...


vhdlhardwarefpga

Read More
Should you remove all warnings in your Verilog or VHDL design? Why or why not?...


verilogvhdlsystem-verilogfpgaasic

Read More
How setup- and hold times affect the functionality of the FPGA implementation?...


vhdlhardwarefpgadigital

Read More
Division in Verilog and Q factor representation...


verilogsignal-processingfpgaxilinxfixed-point

Read More
How to change the MAC adress of FPGA ZedBoard...


fpgamac-addresszynqpetalinux

Read More
"Serial Loader Device is missing" during Convert Programming File with Quartus Prime...


intelfpgaquartusintel-fpga

Read More
If always_ff = always @ (posedge clk), then why write always_ff @ (posedge clk)?...


verilogsystem-verilogfpga

Read More
How to connect a modport interface to a module that wasn't originally declared using the modport...


verilogsystem-verilogfpgahdlvivado

Read More
How do I specify that a component within an OpenCPI application xml is within an HDL assembly?...


cfpga

Read More
Is there a way to send data from the FPGA logic on a Zedboard to an external CPU without involvement...


serial-portfpgauartzynq

Read More
VHDL - Register for Push Button...


vhdlfpga

Read More
How to connect module to module in Verilog?...


verilogsystem-verilogfpgaquartusintel-fpga

Read More
How do I use clocking wizard to create a slower clock for my program?...


verilogfpgavivado

Read More
Is the array part select +: with variable start synthesizable by Vivado?...


verilogsystem-verilogfpgahdlvivado

Read More
BackNext