Search code examples
fpgaxilinxhdlvivado

How to get access to Xilinx FPGA temperature in hdl code?


I'm using a Xilinx ultrascale FPGA (specifically, AXKU-040). A project I'm involved in requires real-time monitoring of FPGA temperatures. I need to read the temperature of the FPAG and send it to the computer via a specific interface (eg ethernet, uart, ...). So the temperature graph that the sysmon primitive draws can't help me. Is there a solution to my problem? Is there an IP or primitive that gives us the temperature of the FPGA? Thank you in advance

I searched a bit for how to access the sysmon data, but didn't find anything useful


Solution

  • Use the System Management Wizard in the IP Catalog. You can get the temperature directly via a port or through AXI or DRP busses.