Search code examples
Where does the third dimension (as in 4x4x4) of tensor cores come from?...


matrixgpucpu-coresasic

Read More
Should you remove all warnings in your Verilog or VHDL design? Why or why not?...


verilogvhdlsystem-verilogfpgaasic

Read More
Is it possible to display coverage of a specific bin within a coverpoint in a logfile using the simv...


system-veriloghdlasic

Read More
Tool for drawing timing diagrams...


hardwareverilogasictiming-diagram

Read More
Why this process is executed when the simulation starts...


vhdlfpgahdlmodelsimasic

Read More
Using an ASIC to brute force MD5...


hashmd5brute-forceasic

Read More
What is maximum size of the Queue in SystemVerilog?...


system-verilogverificationasic

Read More
Is it necessary to seperate combinational logic from sequential logic while coding in VHDL, while ai...


vhdlregister-transfer-levelasicsoc

Read More
How can I use genvar variable to access input signals?...


verilogsystem-verilogfpgaasic

Read More
Does enum literal deceleration of states guarantee a glitch free state machine?...


verilogsystem-verilogstate-machineasic

Read More
Difference between process and "vanilla" VHDL...


vhdlfpgahardwareasic

Read More
Why is the following clock multiplication Verilog code not working for me?...


verilogsystem-verilogasicsoc

Read More
Error: /..integrator.vhd(47): near "process": (vcom-1576) expecting IF VHDL...


vhdlsynthesisasic

Read More
increasing the PPA limitation of a design...


vhdlasic

Read More
Systemc Error with the library...


c++hardwarefpgasystemcasic

Read More
how to track errors in FPGA/ASIC development using post place'n' route and/or post synthesis...


simulationfpgasynthesisasic

Read More
Prepone Region in SystemVerilog...


system-verilogvlsiasic

Read More
Query for VHDL synthesis for IC Design (Not FPGA), specifically in case of variable assignment...


vhdlsynthesisregister-transfer-levelasicsoc

Read More
What does "quality of result (QoR)" cover?...


vhdlverilogfpgahdlasic

Read More
What's the advantage of bit over reg in systemverilog?...


system-verilogverificationasictest-bench

Read More
timing for ASIC design, proper clocking for an D/A...


clocktimingasic

Read More
how to write a restore reset formal test which has a long timing...


verilogsystem-verilogformal-languagesformal-verificationasic

Read More
What is the exact criteria for an inout port, when sometimes inout and output ports can be interchan...


verilogfpgasystem-verilogasic

Read More
What is the practical difference between implementing FOR-LOOP and FOR-GENERATE? When is it better t...


for-loopvhdlfpgahardwareasic

Read More
Divide by 2 clock and corresponding reset generation...


verilogresetclocksynthesisasic

Read More
Compilation error: A net is not a legal lvalue in this context...


verilogasicdigital-design

Read More
synthesizable asynchronous fifo design towards an FPGA...


asynchronousverilogfpgadigitalasic

Read More
What if I used Asynchronous reset, Should I have to make as synchronous turned it?...


vlsiasic

Read More
Synopsys design compiler- view datapath extraction results...


vhdlsynthesisasic

Read More
Area optimization for a custom library using Synopsys Design Vision...


synthesiscircuitsynthesizerasic

Read More
BackNext