Search code examples
How to initialize a Reg of Bundle in Chisel?...


chisel

Read More
How to split an UInt() into a Vec of UInt to do subword extraction and assignment?...


chisel

Read More
Maintain connection order on FIRRTL using Cat operator...


chiselregister-transfer-level

Read More
Is it possible to test chisel Reg() in console?...


scalasbtchisel

Read More
How to understand this line of chisel code...


scalachiselrocket-chip

Read More
How to use Seq with Cat in Chisel?...


scalachiselrocket-chip

Read More
Developer's guide for Chisel?...


chisel

Read More
Can't poke MixedVec...


scalahdlchisel

Read More
Error while passing values using peekpoketester...


chisel

Read More
Vec of Bundle as a Module parameter...


scalahdlchisel

Read More
Use FIRRTL Annotations to connect multi-bit wires and pins...


scalachiselregister-transfer-level

Read More
How to pass some Bundles as Module parameters?...


scalahdlchisel

Read More
Expression _GEN_7 is used as a FEMALE but can only be used as a MALE...


scalahdlchiselregister-transfer-level

Read More
How to use chisel module as package...


scalasbtchisel

Read More
How to do parallel testing with peekpoketester in chisel3?...


scalacoroutinehdlchisel

Read More
Error while building sodor emulators, riscv-sodor...


makefileriscvchisel

Read More
How to count time in chisel with iotesters?...


hdlchisel

Read More
RisingEdge example doesn't work for module input signal in Chisel3...


hdlchiselicaruscocotb

Read More
firrtl_interpreter.InterpreterException: error: ConcreteSInt(303, 9) bad width 9 needs 10...


chisel

Read More
Is RawModule only for Top connections?...


hdlchisel

Read More
How do you test RawModules?...


chisel

Read More
Printf in Chisel PeekPokeTester behaves differently from verilator on the same RTL...


simulationchisel

Read More
What is the meaning of :*= and :=* operators?...


chiselrocket-chip

Read More
What benefits does Chisel offer over classic Hardware Description Languages?...


chisel

Read More
Why traps Rocket Chip on FPGA after code execution in DRAM...


verilogfpgachiseljtagrocket-chip

Read More
how to pack zeros in to bundles in chisel...


chisel

Read More
chisel-firrtl combinational loop handling...


chisel

Read More
Add registers of sub-module to the regmap() of a module that new/instantiate it...


chisel

Read More
Using Multiple Clocks in Testers...


chisel

Read More
Why doesn't the DspContext work such as withNumAddPipes?...


chisel

Read More
BackNext