Search code examples
Is it good thing to use `reduce(_ ## _) ` for IndexedSeq to UInt conversion in Chisel?...


scalareducechisel

Read More
How to propagate a value from a Module upwards...


scalachisel

Read More
In FPGA, why counter with full adder raw implementation have better clock performance than infered a...


fpgachiselyosysicestorm

Read More
How to parametrized vector of registers in chisel...


chisel

Read More
Variable sized type in Chisel...


chisel

Read More
Using Chisel Submodule within another Module: Cannot assign variables to the io input...


scalahdlchisel

Read More
Examples in Chisel that make use of MuxCase...


chisel

Read More
sbt test does not work and all the tests fail...


scalaubuntufpgachiselrocket-chip

Read More
How to export TileLink node to LazyModule's output and generate respective verilog file...


chiselrocket-chip

Read More
Rocket Chip - Access Exception on Page Table Walk...


chiselpage-tablesrocket-chip

Read More
Using subrepo for Chisel project errs...


sbtchisel

Read More
Chisel : When-otherwise clause not working in function definition...


chisel

Read More
Serializer in Chisel: Register printf dont seem to make sense...


chiselchiseltest

Read More
chisel 5.0.0-RC1 and chiseltest...


sbtchiselchiseltest

Read More
How is data width determined for load/store instructions in Rocket Core?...


chiselrocket-chipinstruction-encoding

Read More
Implementing a diplomatic AXI Stream interface in Chisel - BundleMap.cloneType error...


chiselrocket-chip

Read More
Exposing Simulation-only behavior in Chisel3...


chisel

Read More
Is there a simple example of how to generate verilog from Chisel3 module?...


scalasbtverilogchisel

Read More
Can Record class be used to create RegInit?...


scalachisel

Read More
using rocket chip(a library of chisel) to generate a axi4crossbar in verilog language...


chiselrocket-chipaxi4

Read More
Providing a simulation model for a chisel blackbox...


scalachiselchiseltest

Read More
Scala syntax question in Rocket-chip config.scala...


scalachiselrocket-chip

Read More
Is Chisel Counter more than 32 bits possible?...


scalacounterhdlledchisel

Read More
Unable to get the RoCC accelerator built with the default Accumulator example for zed board...


riscvchisel

Read More
How to use the empty signal of the Queue?...


chisel

Read More
How to publish Chisel package?...


scalasbtchisel

Read More
Where does dut in Chisel Test get defined? (About Scala syntax)...


scalachiselchiseltest

Read More
Initializing IO with a bundle in Chisel 3.5...


chiselfirrtl

Read More
Chisel how to test only one package...


chisel

Read More
Chisel memory write mask...


chisel

Read More
BackNext