Search code examples
SystemVerilog not reading data correctly...


verilogsystem-verilogmodelsimdigital-design

Read More
Register increments twice within a non blocking always...


verilogdigitaldigital-design

Read More
How to initialize coefficients of a large digital filter in Verilog?...


verilogsignal-processingfpgavivadodigital-design

Read More
How can I avoid glitches in behavioural vhdl code simulations?...


vhdlmodelsimdigitaldigital-design

Read More
Sending data from slow clock domain to fast...


fpgadigital-design

Read More
Why do we have to add a "clr" (clean input wire) while forming a T flip-flop in Verilog wi...


verilogsystem-verilogvivadoflip-flopdigital-design

Read More
Writing A'B'CD+ABC' using two inverters and 5 2:1 multiplexers...


logicdigital-design

Read More
Continuous assignment with 0 delay not getting the expected value after a signal positive edge...


testingsystem-verilogdigital-design

Read More
Problem while implementing JK-Flip Flop in VHDL...


vhdldigital-logichardwaredigital-design

Read More
Can somebody explain the reasoning behind decimal to binary conversion?...


binarydigital-design

Read More
How can a connection between one gate input with mutiple outputs of other gates causes circuit memor...


cpu-architecturedigital-design

Read More
iverilog error: syntax in assignment statement l-value...


verilogsystem-verilogdigital-design

Read More
D FlipFlop sequence generator for the sequence 1101011 does not generate results...


verilogregister-transfer-leveldigital-design

Read More
Design does not fit ispLEVER...


vhdldigital-logicdigital-design

Read More
always block with no sensitivity list - $display not executed...


verilogdigital-design

Read More
Net, which fans out, cannot be assigned more than one value...


verilogquartusdigital-design

Read More
I am writing a SystemVerilog Testbench for a module that models a schematic, but don't know why ...


system-verilogdigital-logicquestasimdigital-design

Read More
Converting six-bit binary number to it's corresponding two digit BCD number?...


digitalrombcddigital-design

Read More
Where to place the SystemVerilog interfaces, and how to name the interfaces and the files...


interfacesystem-verilogdigital-design

Read More
Verilog apply force to module output without changing internal state...


verilogsimulationsystem-verilogregister-transfer-leveldigital-design

Read More
"Warning C0007 : Architecture has unbound instances" issue!...


countervhdldigital-design

Read More
How is the full adder's carry out term derived?...


additionlogical-operatorsdigital-design

Read More
How do I drive a signal from 2 sources in system verilog...


verilogsystem-verilogregister-transfer-levelvlsidigital-design

Read More
Ouput of adder module is always don't care [Verilog]...


verilogmodelsimquartusdigital-design

Read More
Systemverilog interfaces over hierarchical boundaries...


system-verilogdigital-design

Read More
VHDL Counter returning 'X', unknown value...


binaryvhdlcountervlsidigital-design

Read More
Synchronous vs Asynchronous logic - SR-Flipflop...


logicvhdlfpgaflip-flopdigital-design

Read More
SystemVerilog register design race avoidance...


schedulingsystem-verilograce-conditiondigital-design

Read More
Store a bitvector in flipflops instead of memory - Chisel...


memoryfpgachiselflip-flopdigital-design

Read More
wrong values at adder output in verilog module...


verilogvlsidigital-design

Read More
BackNext