Search code examples
what is the purpose of UVM automation macro?...


uvm

Read More
One IMP_PORT connected to multiple EXPORTS...


system-veriloguvm

Read More
Can there be two 'uvm_tlm_b_target_socket' and two corresponding 'b_transport' imple...


uvm

Read More
UVM enforce clocking block usage...


system-verilogverificationuvm

Read More
UVM: illegal combination of driver and procedural assignment warning...


system-veriloguvm

Read More
UVM agents - single/multiple?...


architectureverificationuvm

Read More
print_config does not display values...


system-veriloguvm

Read More
Structure containing dynamic data in non-procedural context for parameterized test class...


system-veriloguvm

Read More
Can you use uvm_reg.get() on a volatile reg?...


system-veriloguvm

Read More
regexp in hdl path for UVM hdl access functions...


system-veriloguvmsystem-verilog-dpi

Read More
How to get property of class handle after override in UVM?...


system-veriloguvm

Read More
Multi-master AXI interface connections...


system-veriloguvmtest-benchamba

Read More
Why uvm_driver class is not abstract class while other like class uvm_sequence also parameterized cl...


system-veriloguvm

Read More
Disable UVM warning TPRGED at time 0...


system-veriloguvm

Read More
Should i be using the uvm_component/object_utils macros...


macrossystem-veriloguvm

Read More
Why can the argument of `uvm_info not be convert2string()?...


verilogsystem-veriloguvmquestasim

Read More
What is the meaning of numbers in UVM_INFO?...


system-veriloglogfileuvmquestasim

Read More
set_inst_override_by_type() override fail...


system-veriloguvm

Read More
Passing "type" argument to functions...


oopverilogsystem-veriloguvm

Read More
Is it possible to delete a uvm_config_db entry?...


verilogsystem-veriloguvm

Read More
How to get register model in uvm_sequence task in UVM?...


system-veriloguvm

Read More
uvm_analysis_imp vs uvm_tlm_analysis_fifo in UVM...


verilogverificationuvm

Read More
Value set by +uvm_set_config_int is not matched...


system-veriloguvm

Read More
Race condition with nonblocking assignment in UVM driver...


system-veriloguvm

Read More
How do I get overridden transaction item in UVM driver?...


system-veriloguvm

Read More
How do I execute only targeted function from inherited uvm_test class?...


system-veriloguvm

Read More
Error - :near "(": syntax error, unexpected '(', expecting IDENTIFIER or '=&#3...


macrossystem-veriloguvm

Read More
Fatal Error: ELAB2_0036 Unresolved hierarchical reference while using UVM...


system-veriloguvm

Read More
SystemVerilog: registering UVM test with the factory...


classfactorysystem-veriloguvm

Read More
Question regarding factory overriding in SV UVM...


system-veriloguvm

Read More
BackNext