Search code examples
verilogsystem-verilogmodelsimedaplayground

dumping vcd files in Modelsim simulations


I am trying to dump a vcd file when simulating with modelsim, however, I don't get anything in my "dumpVCD.vcd" file. The syntax I am usingin .do file is as follows:

vcd file dumpVCD.vcd
vcd add -r /dff_TB/* 

however the dumpVCD.vcd file doesn't have the waveforms.

I have uploaded the test on edaplayground (link).

I would appreciate it if someone could advise me what is the problem with this.


Solution

  • You need to add a runtime optimization switch -voptargs=+acc=npr to preserve signal visibility. I'll put in a request to have this on by default.