Search code examples
system-verilogmodelsimcadencequestasimsynopsys-vcs

Cadence IUS simulator options


What is the difference between -INcdir and +incdir+ options in NC simulator?

Below is the example command from the Makefile. As far as I know the testbench directories are included using -INcdir and the source code file directories are included using +incdir+ command

ncvlog -SV -INcdir ../include -INcdir ../src -64bit ../src/top.sv ../src/test.sv;
ncelab ncelab.log top +incdir+../../../sv/src +incdir+../../../sv/include

Please help me to understand the the significance of these options.


Solution

  • I agree with Tudor. They actually mean the same thing.

    The +incdir+arg is replaced with ncvlog -incdir arg, the same way in which +define+arg is replaced with ncvlog -define arg.

    It is referred to as "Plus" option translation in the Cadence NC-Verilog Simulator user guide.

    Snapshot from user guide