Search code examples
reportvhdl

Is there a way to print an assert only once at the beggining of a simulation in vhdl?


I have a vhdl entity which I instanciate in a testbench.

I would like it to print a report just once at the beginning of the simulation to show which generic was used.

Is that possible with synthetisable code ?

Thanks,

SLP


Solution

  • process
    begin
        report "Integer parameter FOO value = " & integer'image(FOO);
        wait;
    end process;
    

    Caution on the wait; statement! As @user1155120 notes, processes without a sensitivity list will loop infinitely, unless stopped with a wait; statement.