Search code examples
ice40 clock delay, output timing analysis...


verilogfpgayosysice40

Read More
What are PIP alternative in arachne-pnr?...


fpgayosysice40

Read More
Understanding logic tile LC_5 bits...


yosysice40

Read More
How to reuse BRAM once it's not needed by module?...


fpgayosysice40

Read More
How to unpack LUTs into logic cells in verilog...


verilogyosys

Read More
Multiple conflicting drivers for reg assigned in only one always block...


verilogyosys

Read More
Sub-module not found after changing parameter through chparam in a foreach loop...


yosys

Read More
Yosys: Variable initial value to flip-flop at reset...


yosys

Read More
Is there any way to get default parameter value for verilog module with Yosys...


verilogyosys

Read More
Simplify combinational logic using yosys...


yosys

Read More
Characterization using YOSYS...


delayareaenergyyosys

Read More
How to remove auto-generated YOSYS comments?...


verilogyosys

Read More
iCE40 IceStorm FPGA: Switchable Pullup on Bi-directional IO pins...


yosys

Read More
Vivado doesn't recognize cell in EDIF file generated by Yosys...


yosys

Read More
Increment integer under case state in verilog with yosys...


verilogyosys

Read More
Lattice iCE40 JTAG...


fpgajtagyosys

Read More
How to output dependency files in Yosys (gcc -MMD equivalent)?...


makefileyosys

Read More
Reset behavior with miter equivalence checking...


yosys

Read More
assume() does not work for initial statement...


verilogyosys

Read More
is nested @ supported?...


verilogsynthesisyosys

Read More
What is a good "template" Yosys synthesis script?...


yosys

Read More
What are yosys formal capabilities with verific?...


yosys

Read More
Yosys gives syntax error on 2d interface...


yosys

Read More
How can I use multiple IP cores that both contain modules with the same names with Yosys...


yosys

Read More
Yosys logic loop falsely detected...


yosys

Read More
Can I avoid opt_merge from removing a BUF? (Yosys tri-state workaround)...


yosystri-state-logic

Read More
Primitives in Yosys...


verilogyosys

Read More
How to assign RAM values in an initial block in Yosys?...


verilogyosys

Read More
Understanding the bitstream generated for iCE40 I/O tiles...


yosysice40

Read More
Is it possible to remove clock input variable from the AIGER output?...


yosys

Read More
BackNext