Search code examples
Formal verification of state machine with SymbiYosys not giving expected results...


verilogformal-verificationyosys

Read More
Yosys optimizes away ring oscillator on ice40 FPGA...


fpgayosysice40

Read More
Verilog: mapping an memory array...


verilogsystem-verilogfpgayosys

Read More
In FPGA, why counter with full adder raw implementation have better clock performance than infered a...


fpgachiselyosysicestorm

Read More
Understanding the SB_IO primitive in Lattice ICE40...


verilogfpgalatticeyosysice40

Read More
Verilog/SystemVerilog: "constant" function is considered non-constant...


verilogsystem-veriloghdlyosysverilator

Read More
FSM export using Yosys...


verilogfsmyosys

Read More
Getting "Warning: Driver-driver conflict" errors from yosys...


verilogyosys

Read More
Yosys: Multiple edge sensitivities for asynchronous reset...


verilogsignal-processingfpgayosysice40

Read More
Support for ICE40UP5K-SG48I?...


fpgayosysicestorm

Read More
Does operator of `[]` of std::map always put the new item into the first place of iterator?...


c++stdmapyosys

Read More
How to see the synthesized RTL in openlane?...


yosys

Read More
iceprog - Can't find iCE FTDI USB device with Alchitry CU...


fpgayosysicestorm

Read More
Yosys AIG output format unclear...


yosys

Read More
Yosys -- compilation of .dot file suceeds, but viewer (xdot) can't preview it...


verilogyosys

Read More
Do sub modules get stimulated independently by the solver or through the connected top level module?...


system-verilogformal-verificationyosys

Read More
Why Yosys synthesis the sequential statement to constant...


synthesisyosys

Read More
iCE40 Ultra Plus 5k — how to set PLL (without propietary GUI tools) (continued)...


vhdlfpgayosys

Read More
Yosys -- producing an electronic schematics from verilog...


graphvizdotyosys

Read More
Formal verification with yices -- broken pipe...


yosys

Read More
how to estimation a chip size with standard cell library...


yosys

Read More
Path options for techmap calls in a pass...


yosys

Read More
System Verilog Loops...


verilogsystem-verilogcpu-architecturehdlyosys

Read More
Adding cell to write_verilog causes error...


yosys

Read More
Error: Cannot find buffer gate in the library...


yosys

Read More
Is it possible to use $display to print some values when proving with yosys-smtbmc?...


verilogyosys

Read More
Addition/Substraction Optimization in Yosys...


synthesisyosys

Read More
Why I can not copy a content of register to another one in "always" block in Verilog?...


verilogfpgayosysiverilog

Read More
How to run post-synthesis simulation with the IceStorm iCE40 FPGA flow...


yosys

Read More
how do I import sv packages using YOSYS...


yosys

Read More
BackNext