Search code examples
How to make an unsigned to signed number, and reverse in verilog...


verilogriscvunsignedsigned

Read More
Assignment error: "Cannot assign to array"...


veriloghdliverilog

Read More
'case item is unreachable' in Vivado synthesis process...


verilogfpgaxilinxhdlvivado

Read More
Check all bits set/unset...


verilogsystem-verilog

Read More
Illegal assignment: Cannot assign an unpacked type to a packed type...


verilogsystem-verilogmodelsim

Read More
Trouble instantiating and assigning in generate block...


verilogsystem-verilog

Read More
How to have a signal which has specific delay after clock positive edge?...


verilogtest-bench

Read More
Getting unexpected output for state machine code...


verilogsystem-verilogstate-machine

Read More
Getting wrong output for 4x4 multiplier...


verilog

Read More
Trying to design a shift adder using Verilog, and I'm not able to fix this error...


verilogiverilog

Read More
4-bit register always shows output 0...


verilogsystem-verilogtest-bench

Read More
always_comb construct does not infer purely combinational logic...


compilationverilogsystem-verilogquartus

Read More
Why does this simulate continuous assignment with delay of 2 as if had delay of 3...


verilogsystem-verilog

Read More
Generating random value for 255 wire bus...


verilogsystem-verilogverification

Read More
Timing problem between posedge and negedge in a FSM...


verilog

Read More
I have a problem with the function $readmemh...


verilogsystem-verilog

Read More
Foreach loop with string array...


verilogsystem-verilog

Read More
Odd Number Detector on FPGA...


verilogfpga

Read More
Convert parity function from using unary XOR to a "for" loop...


for-loopverilogparity

Read More
Why does the Inferred Latch error occur during the synthesis process?...


verilogfpgaxilinxhdlvivado

Read More
What is the nondeterminism in Verilog and simulator? Can control flow switch back and forth between ...


verilogsystem-veriloghdl

Read More
Vivado verilog 1 LUT cells form a combinatorial loop...


veriloghdlvivadosoc

Read More
What is the reason for this error in ModelSim for my Verilog code? (string_literal.v(3): near "...


stringverilogmodelsim

Read More
Could someone explain how the sequence of code for a 4-bit CLA adder works?...


verilog

Read More
When I simulate my counter in Modelsim, the outputs are undefined...


verilogmodelsimquartus

Read More
Using a macro gives errors, but putting macro text in explicitly does work...


verilogsystem-verilogquartus

Read More
What happens when multiple variables in Verilog's always block's sensitive list change at th...


veriloghdl

Read More
Synopsys VCS Warning for `define redefined...


verilogsynopsys-vcs

Read More
Synopsys VCS message severity change from error to warning...


verilogsystem-verilogsynopsys-vcs

Read More
Confused about one Verilog command...


verilogsystem-veriloghardware

Read More
BackNext