Search code examples
What is the difference between these 2 counters?...


verilogsystem-veriloghdl

Read More
Can we disable Always block using disable statement?...


verilogsystem-veriloghdl

Read More
SystemVerilog calculations right before writing to clocking block...


verilogsystem-verilogblockingnonblockinghdl

Read More
VHDL-2008 Convert Array Width...


vhdlhdlvivadoregister-transfer-level

Read More
Is it possible to display coverage of a specific bin within a coverpoint in a logfile using the simv...


system-veriloghdlasic

Read More
Is Chisel Counter more than 32 bits possible?...


scalacounterhdlledchisel

Read More
Two if statements in parallel assigning value to same variable in Verilog, what is the precedence th...


if-statementverilogsystem-veriloghdl

Read More
Four Bit Ripple Carry Adder Failing on Specific Inputs...


logicveriloghdl

Read More
Testing a 4-bit adder...


logicverilogadditionhdl

Read More
Assigning the entirety of a 2D packed array to a 1D packed array with the same number of elements...


arrayssystem-veriloghdlregister-transfer-level

Read More
reg qb; cannot be driven by primitives or continuous assignment...


veriloghdliverilogicarus

Read More
wire output can be used as an inside variable?...


verilogsystem-verilogfpgahdl

Read More
Modifying variables inside generate statements...


verilogsystem-veriloghdl

Read More
This performs the Or8Way function, Why is the or1out[1] 0?...


hdlnand2tetris

Read More
SystemVerilog: Aggregate class with array of class objects...


oopverilogsystem-veriloghdltest-bench

Read More
Non blocking Statements execution in verilog...


veriloghdlregister-transfer-level

Read More
non-blocking assignment to a variable twice in a always block gives unexpected answer...


veriloghdl

Read More
Verilog Matrix multiplication error in synthesis...


verilogxilinxhdl

Read More
What is the difference between reg and wire in a verilog module?...


veriloghdl

Read More
Is it synthesizable, using integer variable for the for-loop within a generate block in a always blo...


for-loopverilogfpgahdlgenerate

Read More
Bitstream Encryption...


fpgahdlvivadobitstream

Read More
Type of identifier does not agree with its usage as "boolean" type - VHDL in Quartus...


vhdlfpgaidentifierhdlquartus

Read More
FIR lowpass filter module error during simulation...


verilogfpgaxilinxhdlvivado

Read More
I wrote this code in Verilog and there are no error messages, but it doesn't work...


verilogsystem-veriloghdlmodelsimquartus

Read More
Verilog FSM controller and datapath...


veriloghdlfsm

Read More
First-In-First-Out (FIFO) using verilog...


memorycpuverilogcpu-architecturehdl

Read More
How does streaming operator unpacking work?...


verilogsystem-veriloghdl

Read More
Multiple assignments to function return value...


verilogsystem-veriloghdl

Read More
modelsim simulation time cycles appear to be different than test_bench...


verilogsimulationfpgahdlmodelsim

Read More
Best way to optionally register inputs...


verilogsystem-veriloghdl

Read More
BackNext