Search code examples
Why does my code keep triggering the default condition in case statement?...


caseveriloghdl

Read More
Define constant from expression...


verilog

Read More
HDLBits Dff8p - Reset not working when using a generate loop...


veriloghdlflip-flop

Read More
Booth encode not working, simulation included...


verilogsystem-verilogmodelsim

Read More
What is the point of a "plain" begin-end block?...


verilogsystem-verilog

Read More
How to normalize the sum of two IEEE754 single precision numbers?...


floating-pointverilogsystem-verilogieee-754twos-complement

Read More
XXX on output ports...


veriloghdlxilinx-ise

Read More
Writing a counter to approximate a fraction with minimal error...


veriloghdlvga

Read More
Assigning 16 bit to 4 bit...


verilogfpga

Read More
SystemVerilog FSM enum states...


verilogsystem-verilogregister-transfer-level

Read More
Can the power operator ** be used with arbitrarily large operands?...


verilogsystem-verilog

Read More
How can I enable data transfer through physical contact in FPGAs?...


verilogfpga

Read More
Concatenate arrays of bytes into one array...


verilogsystem-verilog

Read More
Understanding the SB_IO primitive in Lattice ICE40...


verilogfpgalatticeyosysice40

Read More
Design Ones Counter Using Structural Level Modeling...


verilogcounterclockbit-shiftsynchronous

Read More
carry look ahead adder verilog...


verilogvivado

Read More
SystemVerilog array of interfaces with unique parameters...


verilogsystem-veriloghdl

Read More
When designing digital circuits, which is more power efficient, an if-statement or a multiplication ...


verilogsynthesis

Read More
Using right parenthesis still causes Verilog compiler to complain about expecting a right parenthesi...


verilogsystem-verilog

Read More
HDLBits DFFs and Gates...


verilog

Read More
Assertion in verilog...


verilogsimulationassert

Read More
Analyzing synchronizer MTBF in Quartus...


verilogfpgaquartusintel-fpga

Read More
Concatenate all the elements of the dynamic array with stream operator...


concatenationverilogsystem-verilog

Read More
Modelsim displays unknown or garbage number in transcript...


verilogsimulationmodelsimtest-bench

Read More
Verilog/SystemVerilog: "constant" function is considered non-constant...


verilogsystem-veriloghdlyosysverilator

Read More
Verilog - Error: "Unresolved reference" when simulating...


verilogmodelsim

Read More
The output I'm getting is wrong...


verilogsystem-verilogiverilog

Read More
Inferring a True Dual Port RAM (Xilinx and Intel compatible) in Verilog...


verilogfpgaxilinxintel-fpga

Read More
Whether the execution order is guaranteed when the statements in fork join_any and the statements fo...


verilogsystem-veriloghdl

Read More
4 bit adder-subtractor in verilog...


veriloghdliverilog

Read More
BackNext