Search code examples
Verilog Barrel Shifter...


rotationverilogbit-shiftshiftcase-statement

Read More
Design with MicroBlaze has more instantiated block-RAMs than device capacity. Consider targetting to...


verilogvivado

Read More
IO placement is infeasible error in Vivado...


constraintsverilogfpgavivado

Read More
No .vcd file found error, but I have used the $dump code...


verilogsystem-verilogtest-benchiverilogedaplayground

Read More
How to include time delay in synthesized verilog?...


verilogtimedelay

Read More
Verilog module always going to default case when assigning value to input...


verilogfpgaquartusintel-fpgaquestasim

Read More
Quartus-FPGA: Disable Path Optimization...


verilogfpgaquartusintel-fpga

Read More
Store constants in a file, use for module Instantiation in generate block...


constantsverilogsystem-verilog

Read More
Module instantiation when number of ports are dynamic...


moduleveriloggenerate

Read More
Question about the behaviour of registers...


verilogcpu-architecturesystem-verilog

Read More
Issue with Buffers for Input Feature Maps and Neural Network Weights...


verilog

Read More
array of buffers in verilog...


verilogsystem-verilog

Read More
Output comes 1 clock cycle later than expected...


verilogregister-transfer-level

Read More
How to initialize coefficients of a large digital filter in Verilog?...


verilogsignal-processingfpgavivadodigital-design

Read More
Determine if a module in SystemVerilog is synthesizable...


conv-neural-networkverilogsystem-verilogfpgamax-pooling

Read More
Verilog: mapping an memory array...


verilogsystem-verilogfpgayosys

Read More
Can anyone help me to create a Verilog testbench?...


inputverilogsystem-verilogtest-bench

Read More
output of the word on the 7 segment indicator by using switch...


verilogsystem-verilogtest-bench

Read More
calculation of simulation time in verilog...


verilogsimulation

Read More
Checking for amount of open files while running SystemVerilog testbench...


verilogsimulationsystem-verilogtest-benchsynopsys-vcs

Read More
Module that converts ASCII to 7-segment display using FPGA...


verilogsystem-verilogfpga

Read More
Timing closure problems in FIFO...


verilogtimingxilinxfifovivado

Read More
icarus verilog: Unable to bind variable...


verilogsystem-verilogiverilog

Read More
Error: "Syntax in assignment statement l-value." while trying to assign a reg inside an al...


verilogiverilog

Read More
How to define and initialize a vector containing only ones in Verilog?...


verilogsystem-verilogregister-transfer-level

Read More
Simulation contradiction using the same Vivado block ram IP...


verilogsimulationramvivadotest-bench

Read More
Verilog testbench code using gEDA and iVerilog...


veriloghdliverilog

Read More
Debugging combinational logic loops in Icarus Verilog...


verilogiverilog

Read More
FPGA Fancy flowing light, digital tube display?...


verilogfpgavivado

Read More
Why would a simulation/synthesis mismatch occur with non-blocking initialization of signals?...


verilogvhdlsystem-verilog

Read More
BackNext