Search code examples
Why is "Set as Top-Level Entity" grayed out in quartus?...


verilogsystem-veriloghdlquartus

Read More
Verilog module always going to default case when assigning value to input...


verilogfpgaquartusintel-fpgaquestasim

Read More
Quartus-FPGA: Disable Path Optimization...


verilogfpgaquartusintel-fpga

Read More
Quartus isn't displaying Correctly...


quartus

Read More
Error (10170): Verilog HDL syntax error (59) near text: "posedge"; expecting an operand...


verilogquartusintel-fpga

Read More
Compilation error in Quartus for Verilog language...


verilogsystem-verilogquartus

Read More
Analyzing synchronizer MTBF in Quartus...


verilogfpgaquartusintel-fpga

Read More
Why is Modelsim displaying "Error: MIF contains illegal character" when I try to simulate?...


simulationmodelsimquartus

Read More
always_comb construct does not infer purely combinational logic...


compilationverilogsystem-verilogquartus

Read More
How to fix libXft.so.2: cannot open shared object file when simulating hardware in Quartus 20.1 runn...


ubuntuquartusintel-fpga

Read More
Control signal with two buttons...


vhdlquartus

Read More
When I simulate my counter in Modelsim, the outputs are undefined...


verilogmodelsimquartus

Read More
Using a macro gives errors, but putting macro text in explicitly does work...


verilogsystem-verilogquartus

Read More
libpng12.so.0: cannot open shared object file: wrong ELF class: ELFCLASS64...


linuxdockershared-librariesquartus

Read More
7-segment display with hex output...


verilogquartus

Read More
Declaration error at define_state.h: identifier is already declared in the present scope...


verilogquartus

Read More
Attempting to make a signal high for 5 clock cycles and then remain low...


verilogsystem-verilogquartus

Read More
The RTL viewer in Quartus is omitting redundant gates...


verilogquartusregister-transfer-level

Read More
Reset a simple counter...


verilogquartustest-bench

Read More
Why is there a difference in output when using Event Control Statement and Delay statement for a sim...


verilogsimulationfpgasystem-verilogquartus

Read More
VHDL Error(10482) object std_logic_vector is used but not declared...


compiler-errorsvhdlquartus

Read More
On left-hand side of assignment must have a variable data type...


verilogvariable-assignmentsystem-verilogquartussynthesis

Read More
$readmemh syntax error for .mif file in Verilog HDL Intel Quartus Prime...


verilogsystem-verilogquartus

Read More
Verilog issue with case/always statement...


verilogquartus

Read More
Why is my 8-bit counter stuck at 0 or 255?...


verilogcounterquartus8-bitcyclone

Read More
Fatal: (vsim-3807) Types do not match between component and entity for port "out1" Without...


vhdlquartus

Read More
How do I concatenate parameters and integers?...


verilogsystem-verilogquartusintel-fpga

Read More
Output 'X' instead of '1' or '0' in VHDL...


vhdlquartusintel-fpga

Read More
"Serial Loader Device is missing" during Convert Programming File with Quartus Prime...


intelfpgaquartusintel-fpga

Read More
ModelSim Simulation Stops Earlier than Expected...


veriloghdlmodelsimquartus

Read More
BackNext