Search code examples
vhdlghdl

Is this a Ghdl/gtkwave bug?


I'm using ghdl+gtkwave for studying, on Windows 7. I run simulations by calling some shell in a .bat file, usually when I got some sintax error, i see the messagges in dos window, but in some case that I didn't understand, there are no errors but gtkwave doesn't runs.

Is this a bug?


Solution

  • GHDL is not interactive (unlike modelsim).

    So your problem may be that your simulator (compiled code simulator built by GHDL) exhibits combinatorial loops that do not stabilize : then such a (compiled) event-driven simulator will not increment (physical) time at some point (just delta delays will be added).

    That could explain why gtkwave does not start : the simulation never ends up.

    So the problem may reside in your VHDL code itself. You should try to probe/report the actual simulation time, to see if my hypothesis is correct w.r.t your problem : if time does not increase, then you hit the aforementioned combinatorial loop problem.

    JCLL