Search code examples
if-statementvhdl

Arrays in If statements VHDL


I want to ask how do I write the if-statement for array of 8 bits in case it's all 0s do I write it -take start as the controlling port- IF START ='0'; OR IF START ='00000000';


Solution

  • if start is a std_logic_vector(7 downto 0) then you say:

    if start = "00000000" then
    .
    .
    .