I am trying to implement this on VHDL:
a<=(b+c)/16;
I have tried this, but synthesis did not accept it.
signal b,c : std_logic_vector(7 downto 0);
signal a : std_logic_vector(8 downto 0);
signal dummy : std_logic_vector(3 downto 0);
(a & dummy) <= ("00000" & b) + ("00000" & 'c');
then I was to use a but it failed. (Operation & cannot be associated with a formal of mode OUT.)
Any suggestions? Thanks, Cem
Use ieee.numeric_std
and declare a,b,c,dummy as unsigned
rather than std_logic_vector
. Or signed
if that's what your application calls for.