Search code examples
"logical root block and symbol is not supported in target" error in ISE Design Suite 14.7...


fpgaxilinxspartan

Read More
Simple code yielding error even though syntax seems correct (ISE VERILOG)...


verilogxilinxxilinx-ise

Read More
Is There Any Limit to How Wide 2 VHDL Numbers Can Be To Add Them In 1 Clock Cycle?...


vhdlfpgaxilinx

Read More
How to find dma_request_chan() failure reason details?...


linux-kernellinux-device-driverembedded-linuxxilinxzynq-ultrascale+

Read More
FPGA logic cells...


logiccellfpgaxilinxzynq

Read More
Efficient implementation of matrix multiplication ARM cortex A9 - Xilinx SDK...


carmlinear-algebraxilinxzynq

Read More
Vitis: Store 16 byte variable into 4 32-bit registers...


fpgaxilinxvivadozynq

Read More
32-bit adder subtractor model compile error: Illegal Lvalue...


verilogfpgaxilinx

Read More
Typecasting uint8_t vector to ap_uint<128> openCL...


c++pointersfpgaxilinx

Read More
What could cause an extra bit to be added to a result in a non-blocking assignment?...


verilogcpu-architecturexilinx

Read More
16bit multiplier vhdl code synthesize error...


vhdlxilinxmodelsimxilinx-isesynthesize

Read More
Integer output turns to binary in synthesize ISE...


vhdlxilinxmodelsimxilinx-ise

Read More
Multiplexer is not simulating changes...


embeddedvhdlfpgaxilinxvivado

Read More
What to do when a latch cannot be avoided?...


hardwareverilogfpgaxilinx

Read More
DMA writing to allocated memory misses the first two adresses on the first write...


carmfpgaxilinx

Read More
How to return record with unconstrained 2d array from a function...


vhdlxilinxvivado

Read More
How to implement HDMI pass-through on XILINX FPGA (Artix-7)...


verilogxilinxhdmipass-through

Read More
Xilinx FFT v8.0 core example testbench...


fftxilinx

Read More
Applying simple inversion (NOT function) to OBUFDS...


fpgaxilinxvivado

Read More
How to find dot product of two vectors in vhdl?...


vhdlproductxilinx

Read More
Programming multiple devices parallelly using Vivado...


tclxilinxvivadoxilinx-ise

Read More
How can I make each module instance read from a unique file?...


verilogsystem-verilogxilinxiverilog

Read More
Artix-7 LUT usage too high for 6-input 1-output logic...


vhdlfpgaxilinx

Read More
SystemVerilog Initialize multi dimensional parameterized array in...


verilogsystem-verilogxilinx

Read More
Linux CONFIG_PREEMPT_RT for a quad core ARM A53 (newbie doubts)...


linuxlinux-kernelreal-timexilinxpreemption

Read More
Sine of the signal in Xilinx Simulink...


matlabverilogfpgasimulinkxilinx

Read More
VHDL: Button debouncing (or not, as the case may be)...


vhdlfpgaxilinxvivadodebouncing

Read More
Unexpected waveform is coming out, designing CPU...


verilogxilinx

Read More
Why result Q is X?...


verilogsimulationsystem-verilogxilinxhdl

Read More
Xilinx Zynq peripheral drivers...


driverxilinxzynq

Read More
BackNext