Search code examples
Too many bonded comps of type "IOB" found to fit this device when I design a cpu use fpga...


vhdlfpga

Read More
VHDL: Combining multiplication and slicing into one line...


vhdl

Read More
Rectangle/Box Collision in VHDL...


collision-detectionvhdlcollisionbounding-box

Read More
When should I use std_logic_vector and when should I use other data types?...


integerportvhdlunsignedsigned

Read More
Translating a VHDL code to Verilog compilation error...


vectorconcatenationvhdlverilog

Read More
corresponding expression between Verilog and VHDL...


vhdlverilogfpga

Read More
How combine multiple VHDL codes to make one system...


vhdl

Read More
Width mismatch in assignment: VHDL...


vhdl

Read More
waveform does not work properly for some operations...


vhdlmodelsimwaveform

Read More
VHDL counter simulated using a test bench giving 'Uninitialized' for the output, how is this...


vhdlcountersimulationvivadotest-bench

Read More
An issue regarding multiple drivers on a wire, error: [DRC MDRV-1] Multiple Driver Nets: Net led_OBU...


vhdlfpgavivado

Read More
16bit multiplier vhdl code synthesize error...


vhdlxilinxmodelsimxilinx-isesynthesize

Read More
vhdl signed and unsigned type endianess...


vhdlendiannesssigned

Read More
Clock divider in vhdl from 100MHz to 1Hz code...


vhdlfpgaclock

Read More
Generating second counter in VHDL...


timervhdlcounter

Read More
Integer output turns to binary in synthesize ISE...


vhdlxilinxmodelsimxilinx-ise

Read More
Multiplexer is not simulating changes...


embeddedvhdlfpgaxilinxvivado

Read More
Problem in VHDL: led tratrix displayed even button wasn't pressed...


buttonvhdlintel-fpga

Read More
How to convert a VHDL code in Verilog using Icarus Verilog?...


vhdlverilogicarus

Read More
VHDL: Finding out/reporting bit width/length of integer (vs. std_logic_vector)?...


integerlogicwidthvhdlsynthesis

Read More
Changing a single bit in array of std_logic_vector...


vhdlfpgaxilinx

Read More
VHDL found '0' definition of operator "+" cannot determine exact overloaded matchi...


vhdl

Read More
Error (10327) can't determine definition of operator ""=""...


vhdl

Read More
vhdl and gate returning unknown value...


vhdlghdlgtkwave

Read More
Recursively compile files in a directory using Modelsim and a TCL script...


tclvhdlverilogsystem-verilogmodelsim

Read More
How to return record with unconstrained 2d array from a function...


vhdlxilinxvivado

Read More
Quartus netlist optimization lost register fanout in a state machine...


vhdlstate-machinequartus

Read More
Why shouldn't I be using 'inout' rather than 'in' or 'out'?...


vhdlfpgavivado

Read More
How to write new line to file in VHDL?...


vhdl

Read More
What is the output of a moore state machine?...


vhdlstate-machinedigital-logic

Read More
BackNext