Search code examples
I'm getting an syntax error in my VHDL code near counter...


syntax-errorvhdlsimulationpwmxilinx-ise

Read More
16 to 1 mux using 2 to 1 mux in vhdl...


vhdlxilinxdigitalxilinx-ise

Read More
2's complement std_logic_vector to unsigned number...


vhdlhdltwos-complementdigital-logic

Read More
Use of Array Slices in VHDL...


arraysvhdlfpga

Read More
How to simplify sequential logic design by eliminating nested if-else statements...


if-statementvhdlhdldigital-logicflip-flop

Read More
STD_LOGIC_VECTOR does not match integer literal...


compiler-errorsvhdl

Read More
Way to initialize synthesizable 2D array with constant values in Verilog...


arraysinitializationconstantsvhdlverilog

Read More
LRM Bug or Tool Bug?...


vhdl

Read More
How to access record elements and assign value to them?...


vhdlrecord

Read More
How do I rewrite this VHDL code to prevent latches?...


casevhdlfpgaxilinx

Read More
iCE40 Ultra Plus 5k -- how to set PLL (without propietary GUI tools)...


vhdlfpgaice40

Read More
How to bind a SV interface signal to a VHDL type?...


vhdlsystem-veriloguvmquestasim

Read More
Can't compile with VHDL 2008 Quartus Prime...


vhdlintelquartus

Read More
t_tone_array type does not match string literal...


arraysvectorvhdl

Read More
How do I fix “Latches may be generated from incomplete case or if statements” messages in a case-whe...


vhdlmojo

Read More
VHDL RGB to YUV444 implementation mismatch...


vhdlvideo-processingyuv

Read More
Creating 1-bit ALU in vhdl...


vhdlhierarchicalquartus

Read More
Sorting a vector in VHDL...


vhdlhdl

Read More
Why are you giving an error in "=" in my VHDL code...


vhdl

Read More
Precedence of initialized port/signal assigned to port in VHDL...


initializationvhdl

Read More
ModelSim: Intel On-Chip Flash IP: Error: (vsim-3033) Instantiation of 'altera_onchip_flash_block...


vhdlmodelsim

Read More
How to write to console a custom array type...


vhdl

Read More
Test benching a 24 bit signal in an 8 bit component...


vhdlghdl

Read More
Initializing matrix in VHDL takes enormous number of blocks of type logic cell...


vhdl

Read More
4-bit comparator issue in vhdl...


vhdlhardwarecomparatorxilinxxilinx-ise

Read More
Do you have any idea how I can make this code generate numbers only between 1 and 6, it generates be...


vhdl

Read More
How do I compile and run a VHDL program on Mac with ghdl?...


macosvhdlghdl

Read More
Intel MAX 10 DDR output...


vhdlintel-fpga

Read More
Std logic vector in VHDL compare with zero and other vector...


vhdl

Read More
Multiplying two half-precision floats in procedural VHDL...


floating-pointvhdl

Read More
BackNext