Search code examples
Sync RAM related...


verilogiverilog

Read More
Assign value to only one bit in a vector module output...


verilogiverilog

Read More
How to know what control signals a MIPS instruction generates?...


assemblyverilogmipscpu-architectureiverilog

Read More
Verilog Error: "Syntax in assignment statement l-value." when writing a simple alu...


syntax-errorverilogiverilog

Read More
How does verilog treat input values to if statements in always_ff blocks...


verilogsystem-verilogiverilog

Read More
I am trying use the output of a 16-bit encoder as to give input to the register (PIPO)...


verilogtest-benchiverilog

Read More
Error: Unable to assign to unresolved wires...


verilogsystem-verilogiverilog

Read More
Error launching EPWave: [Could not parse file: $timescale not found in the header.]...


verilogsystem-verilogiverilog

Read More
Why are the bits in this Verilog wire assigned as 'z'?...


binaryverilogiverilog

Read More
Error compiling code due to direction declaration...


verilogiverilog

Read More
Implement a state machine in Verilog using a 2D array as transition table...


arraysverilogsystem-verilogstate-machineiverilog

Read More
What is ''_'' in Verilog?...


verilogsystem-verilogiverilog

Read More
Trying to simulate JK-FF with gate level code...


verilogiverilog

Read More
verilog alu design why all my output result is always 00000000...


verilogiverilog

Read More
alu.v:55: syntax: error: Invalid module instantiation and it say "I give up."...


verilogiverilog

Read More
Icarus Verilog warning $readmemh: Standard inconsistency, following 1364-2005...


verilogiverilog

Read More
Test for a 8-bit full adder giving x instead of numbers...


verilogiverilog

Read More
Why does iverilog generate a syntax error for always_ff?...


verilogsystem-verilogiverilog

Read More
Problems running a test in Verilog...


testingverilogsystem-verilogtest-benchiverilog

Read More
I can't compile a .sv file (SystemVerilog)...


system-verilogiverilog

Read More
Arbitrary Counter only displaying zeros...


verilogcounteriverilog

Read More
iverilog testbench module with outputs...


verilogfpgaiverilog

Read More
Verilog code compiles without error but no output...


verilogiverilog

Read More
Rotations Operations for 16bit ALU using multiplexers (updated question)...


verilogaluiverilog

Read More
Icarus verilog: reg show; cannot be driven by primitives or continuous assignment...


system-verilogiverilog

Read More
How can I make each module instance read from a unique file?...


verilogsystem-verilogxilinxiverilog

Read More
8 bit sequential multiplier using add and shift...


verilogsequentialtest-benchiverilog

Read More
Why I can not copy a content of register to another one in "always" block in Verilog?...


verilogfpgayosysiverilog

Read More
Verilog error handling two posedge signals in "always" block...


verilogfpgaiverilog

Read More
How can i list all hierarcheis of modules/submodules in verilog/system verilog?...


verilogsystem-verilogiverilog

Read More
BackNext