Search code examples
Trying to implement an Alarm Clock in Verilog, my clock works but my alarm doesn't...


verilogclockalarmintel-fpga

Read More
Quartus does not allow using a Generate block in Verilog...


verilogfpgahdlquartusintel-fpga

Read More
VHDL with-select error expecting "(", or an identifier or unary operator...


vhdlfpgaquartusintel-fpga

Read More
Full Adder Sum Off by One Clock Cycle...


verilogmodelsimintel-fpgaquartus

Read More
Quartus Prime throwing an error at a $error command...


verilogfpgasystem-verilogquartusintel-fpga

Read More
ModelSim simulation works but FPGA fails. What am I missing?...


verilogfpgamodelsimquartusintel-fpga

Read More
Driving an LED from a switch...


verilogintel-fpga

Read More
What kind of file for passive parallel loading of Cyclone 10 FPGA?...


fpgaintel-fpga

Read More
How do I format an SD Card for use with the Altera DE2-115 demonstration music player project?...


system-verilogquartusintel-fpga

Read More
How to write Thread application in DO file of Model-sim 10.5c using TCL?...


tclfpgamodelsimintel-fpga

Read More
How to fix Error (10170): Verilog HDL syntax error at <filename> near text "("; expe...


verilogsystem-verilogintel-fpgaquartusnios

Read More
Low Pass Filters in FPGA's...


vhdlintel-fpga

Read More
Flash / Run Altera Cyclone IV with OpenOCD...


fpgaintel-fpgaopenocdflashing

Read More
How to display decimal equivalent (0-63) on two 7-segment displays using 6 switches as bits?...


verilogintel-fpgaquartus

Read More
If sensitivity list in VHDL is not synthesizable, why does it gives an error due the Analysis and Sy...


vhdlintelfpgaintel-fpgaquartus

Read More
How to implement several independent devices on one FPGA?...


vhdlfpgaintel-fpga

Read More
how to randomize the elements of a array in vhdl code?...


randomvhdlintel-fpga

Read More
Can FPGA Stratix 3 memory handle large amount of data?...


memorysizevhdlfpgaintel-fpga

Read More
Why does printf with %lld return a different number than with %16x when using 64 bit (long long)?...


cintel-fpga

Read More
FPGA IO configuration: Effect of weak pull up/down on an output...


iofpgaxilinxintel-fpgalattice-diamond

Read More
CMake add_custom_command fails with bin/sh:1 : ... not found...


cmakeintelclionintel-fpga

Read More
Atlas-SoC board preloader troubleshooting...


preloaderintel-fpgabare-metal

Read More
Can we include C source file in another way?...


ceclipseintel-fpgabare-metal

Read More
AHDL dff resets to it default value...


hdlintel-fpga

Read More
Can't build bootlader and kernel image for my DE0-Nano-SoC board...


yoctointel-fpgasoc

Read More
Maximum clock frequency on DE1-SOC...


verilogfpgaintel-fpga

Read More
Modelsim Warning: (vlog-2083) <protected>(<protected>): Carriage return (0x0D) is not fo...


intelfpgamodelsimintel-fpga

Read More
Use dma transfert with Cyclone V Avalon-MM for PCIe...


dmaintel-fpgapci-equartusqsys

Read More
How to generate .rbf files in Altera Quartus?...


fpgaintel-fpgaquartus

Read More
Shifter output is always 0 when using concatenation and case...


verilogsystem-verilogmodelsimintel-fpga

Read More
BackNext