Search code examples
How does comparing the Sign and Overflow Flag determine operand relationships?...


assemblyx86digital-logic

Read More
Oscillating signal on a digital output of AVR ATmega32U4...


ioavratmegadigital-logic

Read More
How do I connect 1-bit inputs to the selection pin of the 4x16 decoder in Logisim?...


digital-logic

Read More
Verilog error: Range must be bounded by constant expressions...


verilogsystem-verilogdigitaldigital-logic

Read More
verilog, why is this illegal reference to net...


veriloghdldigitaldigital-logic

Read More
Simplifying following Boolean Expression and verify using Karnaugh Map...


booleanboolean-expressiondigitaldigital-logic

Read More
a combinational circuit that accepts a 4-bit number and generates a 3-bit binary number output that ...


booleanlogicboolean-logiccircuitdigital-logic

Read More
How to tell if an integer is signed or not?...


binarydigital-logic

Read More
Why does this VHDL code work? 4:2 Priority encoder using Case statement...


vhdlmodelsimdigital-logic

Read More
Design a XOR gate and a XNOR gate using a 2 to 4 Demultiplexer and 2-input OR gates...


hardwarexordigital-logicnor

Read More
VHDL Why is state S0 active when it isn't supposed to be?...


vhdlfpgaintel-fpgaquartusdigital-logic

Read More
Synthesizable Verilog modular shift register...


verilogdigital-logicshift-register

Read More
Index constraint violation in vhdl...


vhdlsimulationfifodigital-logic

Read More
sum of minterm vs product of maxterm...


boolean-logicboolean-expressiondigital-logickarnaugh-map

Read More
If an "else" clause is missing in a level sensitive block...


verilogsystem-verilogdigital-logic

Read More
Designing a System Timer(Porgrammable Logic Timer)...


digital-logicflip-flopcircuit-diagram

Read More
Ring Counter in Verilog...


verilogcircuitdigital-logiciverilog

Read More
bit_vector bounds violation by static constant...


vhdldigital-logicghdl

Read More
Implementing one-bit flags in a 32Bit ALU using Verilog...


verilogdigital-logicaluiverilogstatus-register

Read More
Boolean expression to determine if 8-bit input is within range...


boolean-expressiontwos-complementdigital-logic

Read More
4Way Demultiplexer circuit using Verilog...


verilogdigital-logiciverilog

Read More
How to build a xnor gate using 4 xor gates...


logicboolean-logicdigital-logic

Read More
How do I use 2-input XOR and XNOR gates to create a circuit that detects whether an even number of i...


booleansignal-processingdigital-logic

Read More
Is it possible to scan Logical Gates from a handrawn image...


androidimage-processingshapesdigital-logic

Read More
How to find period of the clock pulse with frequency....


digital-logic

Read More
Number of Prime Implicant and EPI...


cpu-architecturedigital-logicvlsidigital-design

Read More
Encoder and My Challenges on Digital Logic...


cpu-architectureencoderdigital-logicvlsidigital-design

Read More
Self complementing Codes...


logicbcddigital-logic

Read More
Function to calculate a value inside a Verilog generate loop...


verilogcpu-architecturedigital-logic

Read More
Error on real time simulation Quartus II...


timesimulationverilogdigital-logicquartus

Read More
BackNext