Search code examples
vhdlfsm

VHDL 'generate' FSM states


I have a variable number of modules linked to another module via a signal bus : std_logic_vector(NUM-1 downto 0), with each component using 8 bits, so that:

bus(7 downto 0) = first module
bus(15 downto 8) = second module

As for creating the instances and doing the port mapping, that is easily done with a

INST: for i in 0 to NUM-1 generate
         Inst_module port map ( bus => bus(i*8+7 downto i*8) );
       end generate INST;

My question: I would like to be able to interface with each module via a FSM (since it needs to do some other things too), so would like to be able to 'generate' the following code, rather than having to write out each state manually (Where signal empty : std_logic_vector(NUM-1 downto 0) is a status flag for each module)

 type state_type is (st0_idle, st1_work0, st1_work1 --,etc.)
 signal state : state_type;
 begin
 process(empty)
   begin
     if RESET = '1' then
        --reset FSM
        state <= st0_idle;
     else
       if CLK'event and CLK='1' then
         case state is
           when st0_idle =>
             if empty(0) = '0' then
               state <= st1_work0;
             elsif empty(1) = '1' then
               state <= st1_work1;
             --etc.
             end if;             
           when st1_work0 =>
             bus(7 downto 0) <= SOMETHING;
             state <= st0_idle;
           when st1_work1 =>
              bus(15 downto 8) <= SOMETHINGELSE;
              state <= st0_idle;
            --etc..
       end if;
     end if;
end process;

As you can see, there is a lot of repetition. But I can't simply put a for-generate inside the case, so what should I do?


Solution

  • One good way to make processes with state machines more readable is to merge common code into procedures defined within the process. For example:

    process (empty) is
    
      procedure assign_something (
        index      : natural;
        something  : std_logic_vector(7 downto 0)
        next_state : state_type
      ) is
      begin
        bus(index*8+7 downto index*8) <= something;
        state <= next_state;
      end procedure;
    
    begin
      wait until rising_edge(clk);
      case state is
        when st0_idle  => ...
        when st1_work0 => assign_something(0, something,      st0_idle);
        when st1_work1 => assign_something(1, something_else, st0_idle);
        -- ... etc ...
      end case;
      if reset = '1' then
        state <= st0_idle;
      end if;
    end procedure;
    

    Hopefully you get the idea. Depending on how regular the state machine structure is, you may also want to replace the enumerated state variables that correspond to each index with a simple count or index variable that you keep track of along with the named state.

    That's all up to you, but however you do it, using procedures to factor out common code whenever you can will probably make your VHDL much easier to work with.

    Applying this change would make the code look something like this:

    architecture ...
    
    type state_type is (st_idle, st_work);
    signal state : state_type;
    signal index : integer range 0 to NUM-1;
    
    ...
    begin
    ...
    
    process (empty) is
    
      procedure assign_something (
        index      : natural;
        something  : std_logic_vector(7 downto 0)
        next_state : state_type
      ) is
      begin
        bus(index*8+7 downto index*8) <= something;
        state <= next_state;
      end procedure;
    
    begin
      wait until rising_edge(clk);
      case state is
        when st_idle  => 
          for i in 0 to NUM-1 loop
             if empty(i) = '1' then
               index := i;
               exit;
             end if;
          end loop;
        when st_work => assign_something(index, something, st_idle);
      end case;
      if reset = '1' then
        state <= st_idle;
      end if;
    end procedure;
    

    Obviously this has to be changed to match exactly what you want to do ... =)