Search code examples
embedded-linuxfpgaxilinxvivadoredpitaya

RedPitaya hello world hangs up a board


RedPitaya LEDs blinking schwmatics I Tested a simple "hello world" application for RedPitaya FPGA: RedPitayaHelloWorldVHDL. It takes a clock from PACKAGE_PIN U18 and U19, devides it by frequency to receive 1Hz, and outputs counter to LEDs. After making a bitstram and writing to FPGA by command:

 cat bitstram.bit > /dev/xdevcfg

LEDs blinks good, but Linux on board of RedPitaya hangsup. Why it's happening? and how to avoid it?

RedPitaya LEDs blinking


Solution

  • You need to instantiate a Zynq "PS7" component in your design, that is the interface between the Linux SoC part and the FPGA fabric, there is also a wizard in Vivado to configure this. If this component is not in the design then programming the bitstream crashes the SoC.

    If the Linux on Red Pitaya also assumes the presence of any AXI slaves on the FPGA fabric side, omitting these from the design might also cause a lock up, but this is less likely to be the problem.