Search code examples
vhdl

Why does Quartus throw an error at my if-statement?


I'm learning VHDL at the moment.

This may be a dumb question, but what is the problem with this process?

"Error (10500): VHDL syntax error at invent_a_chip.vhdl(132) near text "'"; >expecting "(", or an identifier, or unary operator"

Error (10500): VHDL syntax error at invent_a_chip.vhdl(134) near text "'"; >expecting "(", or an identifier, or unary operator

signal count  , count_nxt   : unsigned(29 downto 0);

...

process(switch, count)
    begin
    if switch(17) = '1' then
        count <= '1000000000';
    else
        count <= '100000';
    end if;
end process;

Edit: Lines 132 and 134 are the lines with count <= '1000000000'; and count <= '100000';.


Solution

  • count must get a value in double quotes (not single), and exactly with 30 bits (numbers), not less!