Search code examples
eclipse-pluginvhdlsigasi-studio

Sigasi in Eclipse


I have just installed the Sigasi Studio pluginin Eclipse (version: Eclipse IDE 2018-12). When I try to launch it,to make a new VHDL file, I get the following:

The selected wizard could not be started. org/eclipse/lsp4j/Range (occurred in com.sigasi.hdt.vhdl.ui.VhdlExecutableExtensionFactory) org/eclipse/lsp4j/Range

How I could solve it, please? Thank you in advance.


Solution

  • SIGASI + GHDL + GTKWAVE (all in one)

    It is very powerful combo that you can set up. ATTENTION i use macOS 10.13.6:

    Step 1

    Make sure you have both installed GHDL and GTKWAVE typing

    $ which gtkwave
    /usr/local/bin/gtkwave
    $ which ghdl
    /usr/local/bin/ghdl
    

    Step 2

    Open Sigasi an make new Project and create an additional compile.sh file with:

    #!/bin/sh
    
    PROJECT_NAME="PWM_Generator"
    PROJECT_NAME_TB="PWM_Generator_tb"
    WORKING_DIR="/Users/imeksbank/Dropbox/UMHDL"
    
    /usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME.vhd;
    /usr/local/bin/ghdl -a --workdir=$WORKING_DIR/work.ghdl $WORKING_DIR/$PROJECT_NAME/$PROJECT_NAME_TB.vhd;
    /usr/local/bin/ghdl -e --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB;
    /usr/local/bin/ghdl -r --workdir=$WORKING_DIR/work.ghdl $PROJECT_NAME_TB --vcd=$WORKING_DIR/$PROJECT_NAME/simulation.vcd;
    

    now, be aware, for each project you create your own variables like

    • PROJECT_NAME
    • PROJECT_NAME_TB
    • WORKING_DIR

    I use always Dropbox for such approach because then i can access via Windows as well.
    And of course, there is a possibility to create custom variables in Sigasi -> External Tool Configurator -> Program -> compile_sh -> environment to pass them to make compile.sh independent. Here you have to deal with it by yourself =)

    Step 3 .

    Set up you External Tools Configurations to let shell script be executed by Sigasi Studio and create the .vcd file for gtkwave:

    Click on currently created Project (in my case it is the PWM_Generator).
    After that click on Run -> External Tools -> External Tools Configurations ....
    Then go to the left sidebar and under Program create your own anchor like compile_sh.

    Finally you have your route :

    • Program
    • --compile_sh

    And now extend this anchor by a custom created shell script :

    Main->Location gets ${workspace_loc:/PWM_Generator/compile.sh}
    Main->Working Directory gets ${workspace_loc:/PWM_Generator}

    Click Apply and Run and that's it !!! After this you can program VHDL / Verilog and compile via Run -> External Tools -> compile_sh having created .vcd. In your project appears the gtkwave file and there just double click and it starts. =)