Search code examples
concatenationvhdl

Concatenating bits in VHDL


How do you concatenate bits in VHDL? I'm trying to use the following code:

Case b0 & b1 & b2 & b3 is ...

and it throws an error

Thanks


Solution

  • The concatenation operator '&' is allowed on the right side of the signal assignment operator '<=', only