Search code examples
verilogsystem-veriloguvm

Piggybacking to UVM error


Is there an easy way to piggy back a custom function to UVM_ERROR macro without manipulating the UVM library ?(i.e whenever a UVM error is invoked anywhere in the environment I want my function to be called along with it.)


Solution

  • Haven't yet tried this myself, but the uvm_report_catcher looks like it does what you want.

    It's a callback that you can implement whenever a uvm report (like a UVM_ERROR) is about to be issued, and your function gets called before it gets reported.

    Example is available here, section 4.9.3: http://low-powerdesign.com/article_Cadence-UVM_101810.html